Orodha ya maudhui:

Usindikaji wa Video Kutumia VHDL na Zybo: Hatua 10
Usindikaji wa Video Kutumia VHDL na Zybo: Hatua 10

Video: Usindikaji wa Video Kutumia VHDL na Zybo: Hatua 10

Video: Usindikaji wa Video Kutumia VHDL na Zybo: Hatua 10
Video: Билли Грэм о технике, вере и страдании 2024, Julai
Anonim
Image
Image
Unda Mradi Mpya wa Vivado
Unda Mradi Mpya wa Vivado

FPGA zina kasi zaidi kuliko CPU kusindika, kwa sababu zinaweza kufanya mahesabu mengi sambamba

Kumbuka: Mradi huu bado unaendelea kujengwa na utaboreshwa (mara tu nitapata muda). Wakati huo huo ninasafiri ulimwenguni…..

Hatua ya 1: Unda Mradi Mpya wa Vivado

Unda Mradi Mpya wa Vivado
Unda Mradi Mpya wa Vivado
Unda Mradi Mpya wa Vivado
Unda Mradi Mpya wa Vivado

Baada ya kufungua Vivado, kwanza unahitaji kuunda mradi mpya kwenye nafasi yako ya kazi unayotaka kufanya kazi.

Baadaye jina mradi wako na uchague eneo la mradi.

Chagua Zybo kama bodi lengwa au bodi nyingine ya Zynq unayotaka kutumia.

Hatua ya 2: Unda Mchoro wa Kuzuia na Msingi

Unda Mchoro wa Kuzuia na Msingi
Unda Mchoro wa Kuzuia na Msingi
Unda Mchoro wa Kuzuia na Msingi
Unda Mchoro wa Kuzuia na Msingi
Unda Mchoro wa Kuzuia na Msingi
Unda Mchoro wa Kuzuia na Msingi

Ongeza mchoro mpya wa Zynq_Processing_system.

Pia ongeza IPs Dvi2rgb na rgb2vga

Ziada ya vizuizi hivi mara mbili hutumiwa kusanidi bandari ya HDMI kama kuzama.

[Samahani, kwa sababu ya nakala rudufu ya mafunzo haya yaliondolewa hapa… kwa wavuti ya asili ya www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Hatua ya 3: Ingiza Rasilimali ya VHDL

Ingiza Rasilimali ya VHDL
Ingiza Rasilimali ya VHDL
Ingiza Rasilimali ya VHDL
Ingiza Rasilimali ya VHDL
Ingiza Rasilimali ya VHDL
Ingiza Rasilimali ya VHDL

Kizuizi cha mtumiaji kinapaswa kuundwa, kwa waandishi wa habari ctrl + A au utafute ili "uongeze chanzo kipya" kwenye jopo la kushoto.

Unda faili mpya na VHDL na uongeze kwenye mchoro kisha ubadilishe nambari ya VHDL.

[Samahani, kwa sababu ya nakala rudufu ya mafunzo haya yaliondolewa hapa… kwa wavuti asili ya www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Hatua ya 4: Ongeza Perifericals ya Ziada ya Umekuwa ndani ya Miti, Vifungo au Slider (hiari)

Ongeza Perifericals ya Ziada ya Kibodi Kama Miti, Vifungo au Slider (hiari)
Ongeza Perifericals ya Ziada ya Kibodi Kama Miti, Vifungo au Slider (hiari)

Kuongeza slider na leds kwa matumizi ya baadaye.

[Samahani, kwa sababu ya nakala rudufu ya mafunzo haya yaliondolewa hapa… hadi kwenye wavuti asili ya www.miscircuitos.com/video-processing-using-vhdl-…]

Hatua ya 5: Sasa Unaweza Kuingiza Nambari yako ya VHDL kwenye Kizuizi cha Maombi

Sasa Unaweza Kuingiza Nambari yako ya VHDL kwenye Kizuizi cha Maombi
Sasa Unaweza Kuingiza Nambari yako ya VHDL kwenye Kizuizi cha Maombi

Mara baada ya kuongezwa moduli ya VideoProcessing, Ili kuhariri nambari, bonyeza. Mfano wa nambari ya kuanzia imeambatishwa

[Samahani, kwa sababu ya nakala rudufu ya mafunzo haya yaliondolewa hapa… kwa wavuti asili ya www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Hatua ya 6: Unda Kufunga

Unda Kufunga
Unda Kufunga
Unda Kufunga
Unda Kufunga

Tengeneza kifuniko hapo awali kwa muundo wa mchoro wa block.

Hatua ya 7: Usanisi, Utekelezaji na Kizazi cha Bitstream

Usanisi, Utekelezaji na Kizazi cha Bitstream
Usanisi, Utekelezaji na Kizazi cha Bitstream

Ongeza maelezo ya pingamizi ya vikwazo. Imeambatanishwa.

endesha usanisi. Baadaye utekelezaji na kisha utengeneze mtiririko mdogo, ambao utapakiwa kwa FPGA.

Hatua ya 8: Hamisha vifaa na uzindue SDK

Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK
Tuma vifaa vya nje na uzindua SDK

Hamisha vifaa na uzindue SDK.

Hatua ya 9: Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya

Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya
Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya
Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya
Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya
Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya
Unda Kifurushi kipya cha Usaidizi wa Bodi na Maombi Mapya

Unda kifurushi cha msaada wa bodi. Kisha fungua au tengeneza programu ukitumia kiolezo "neno la hello".

Kisha endesha FPGA

Hatua ya 10: Programu ya FPGA na Endesha Nambari ya Ulimwenguni ya Habari

Image
Image
Programu ya FPGA na Endesha Nambari ya Ulimwenguni ya Habari
Programu ya FPGA na Endesha Nambari ya Ulimwenguni ya Habari

Huu ni mfano mdogo tu, ambapo njia za rangi hubadilishwa.

Asante!

[Samahani, kwa sababu ya nakala rudufu ya mafunzo haya yaliondolewa hapa… kwa wavuti asili ya www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

Ilipendekeza: